Đồ án Thết kế hệ thống điều khiển đèn giao thông trên micro PLC SIMATIC S7- 200

Đồ án Tốt Nghiệp  
Thết Kế Hệ Thống Điều  
Khiển Đèn Giao Thông Trên  
Micro PLC SIMATIC S7-  
200  
Đồ án tt nghip  
Điu khin đèn giao thông  
-------- --------  
Nhim VThiết Kế Tt Nghip  
Hvà Tên :  
MSSV:  
Niên Kh:  
Khoa:  
Đin.  
Ngành:  
Điu khin tự động.  
1. Đầu đề thiết kế:  
Thết Kế HThng Điu Khin Đèn Giao Thông  
Trên Micro PLC SIMATIC S7- 200  
2. Các sliu ban đầu:  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
3. Ni dung các phn thuyết minh và tính tn:  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
1
Đồ án tt nghip  
Điu khin đèn giao thông  
4. Các bn vđồ th:  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
.............................................................................................................................................................  
5. Cán bhướng dn:  
Phn  
Tên Cán Bộ  
..............................................................  
..............................................................  
..............................................................  
..............................................................  
..............................................................  
........................................................................  
........................................................................  
........................................................................  
........................................................................  
........................................................................  
6. Ngày giao nhim vthiết kế:.  
7. Ngày hn thành nhim v:  
Ngày……Tháng……Năm  
Chnhim bmôn  
Cán bhướng dn  
Hc sinh đã hn thành  
Ngày ……Tháng ……Năm……  
2
Đồ án tt nghip  
Điu khin đèn giao thông  
LI CM ƠN  
Sau quá trình hc tp và rèn luyn nghim túc ti Khoa Đin trường ĐHBKHN cùng vi sự  
hướng dn và đôn đốc tn tình ca Thy giáo Nguyn Dn Phước , tôi đã hn thành Đồ án tt  
nghip Cao đẳng.  
Tôi xin chân thành gi li cm ơn sâu sc đến Thy Nguyn Dn Phước, người thy đã  
động viên và giúp đỡ tôi nhiu vmt tinh thn cũng như kiến thc để tôi vượt qua nhng ngày  
tháng khó khăn trong stìm tòi hiu biết vlĩnh vc mi để ri cui cùng hn thành được Đồ án  
tt nghip ngày hôm nay.Mt ln na xin được gi li cm ơn đến Thy,chúc Thy luôn khoẻ  
mnh và có được nhng tháng năm công tác tt như thy mong đợi.  
Tôi xin chân thành gi li cm ơn đến các thy cô trong bmôn Điu Khin Tự Động cũng  
như các thy cô trong Khoa Đin và nhng người đã dìu dt tôi ,cho tôi kiến thc chuyên ngành  
và nhng kinh nghim quý báu để cùng vi snlc ca bn thân tôi đã hn thành đồ án tt  
nghip ngày hôm nay.  
Tôi cũng xin gi li cm ơn đến gia đình ,bn bè và tt cnhng người thân ca tôi đã to  
điu kin và giúp đỡ tôi rt nhiu để tôi có được kết quả đồ án ngày hôm nay.  
Mt ln na xin cm ơn tt cmi người .  
LI NÓI ĐẦU  
3
Đồ án tt nghip  
Điu khin đèn giao thông  
Trong nhng năm gn đây cùng vi sphát trin ca nn kinh tế là tc độ ra tăng không  
ngng vcác loi phương tin giao thông. Sphát trin nhanh chóng ca các phương tin giao  
thông đã dn đến tình trng tc nghn giao thông xy ra rt thường xuyên .Vn đề đặt ra ở đây là  
làm sao để đảm bo giao thông thông sut và sdng đèn điu khin giao thông nhng ngã tư  
,nhng nơi giao nhau ca các làn đường là mt gii pháp .  
Để viết chương trình điu khin đèn giao thông ta có thviết trên nhiu hngôn ngkhác  
nhau . Nhưng vi nhng ưu đim vượt tri ca PLC S7- 200 như : giá thành h, dthi công , sa  
cha , cht lượng làm vic n định linh hot ….nên ở đây tôi đã chn hthng điu khin có thể  
lp trình được PLC (Programmble Logic Control) vi ngôn nglp trình ca S7 – 200 để viết  
chương trình điu khin đèn giao thông .  
Xut phát tnhng nhu cu thc tế và nhng ham mun hiu biết vvlĩnh vc này , tôi xin  
chn đề tài làm đồ án tt nghip v: ‘’ Thiết kế hthng điu khin đèn giao thông trên Micro  
PLC SIMATIC S7 – 200 ‘’ . Mc đích ca đề tài này là hiu biết vcác thiết btự động h, các  
gii pháp tự động htích hp tn din thông qua PLC S7 – 200 và quan trng nht là nhng ng  
dng ca PLC trong cuc sng ( Điu khin đèn giao thông , tự động htrong mi lĩnh vc ca  
ngành sn xut . . .)  
Báo cáo về đề tài gm 3 phn chính:  
Chương 1: Nguyên Tc Hot Động Đèn Giao Thông  
Trong chương này chyếu trình by vcu to và nguyên tc hot động  
ca đèn giao thông .  
Chưong 2 : Công CThc Hin Bài Tn .Ni dung chyếu vgii thiu cu to phn  
cng ca PLC S7 – 200 , các hlnh cơ bn và Mircowin.  
Chương 3 : Chương Trình Điu Khin Đèn Giao Thông Bng S7 -200 .  
MC LC  
4
Đồ án tt nghip  
Điu khin đèn giao thông  
Trang  
Chương 1:NGUYÊN TC HOT ĐỘNG CA ĐÈN GIAO THÔNG.........................................6  
1.1  
Cu to và nguyên tc hot động ca đèn giao thông .....................................................6  
1.2 Gin đồ thi gian cho tng đèn .............................................................................................7  
1.3 ‘’ Làn Xanh ‘’........................................................................................................................8  
Chương 2 : CÔNG CTHC HIN BÀI TN ............................................................................9  
2.1 Thiết bị điu khin logic khtrình PLC S7 – 200.................................................................9  
2.1.1 Cu hình cng ..............................................................................................................10  
2.1.2 Cu trúc bnh............................................................................................................13  
2.1.3 Mrng ngõ vào/ra:.....................................................................................................17  
2.1.4 Thc hin chương trình:...............................................................................................18  
2.1.5 Ngôn nglp trình S7 – 200 ........................................................................................21  
2.2 Microwin .............................................................................................................................40  
2.2.1 Cài đặt STEP7 – Micro/ Win .......................................................................................40  
2.2.2 Son tho mt Project...................................................................................................41  
Chương 3 : CHƯƠNG TRÌNH ĐIU KHIN ĐÈN GIAO THÔNG ..........................................43  
3.1 Bài tn .................................................................................................................................43  
3.2 Sơ đồ khi ca chương trình ...............................................................................................46  
3.3 Cài đặt chương trình cho S7 – 200......................................................................................47  
5
Đồ án tt nghip  
Điu khin đèn giao thông  
Chương 1:NGUYÊN TC HOT ĐỘNG CA ĐÈN GIAO  
THÔNG  
1.1 Cu to và nguyên tc hot động ca đèn giao thông  
Mô hình đèn giao thông ngã tư.  
Cu to  
Hthng đèn giao thông hay là đèn điu khin giao thông gm hai ct đèn chính  
được lp đặt ti hai đầu ca hai làn đường khác nhau ngã tư. Mi mt ct đèn gm 6 đèn đó là  
3 đèn chính gm: đèn xanh, đèn đỏ đèn đỏ; 2 đèn phlà 2 đèn  
6
Đồ án tt nghip  
Điu khin đèn giao thông  
dùng điu khin làn đường dành cho người đi b: đèn xanh người đi bđèn đỏ người đi b.  
Ngi ra, mi mt hthng đèn có mt hp điu khin từ đó sphát ra tín hiu điu khin  
đèn. Tín hiu điu khin ca đèn tCPU thông qua các cng ra ri đến các rơle, ri qua hthng  
dây ni đến các đèn.  
Nguyên tc hot động  
Cơ chế hot động ca đèn giao thông tht ra rt đơn gin: Khi đèn ca làn đường 1(đx1)  
được bt sáng thì cùng lúc đó đèn đỏ ca làn đường 2 (đđ2), đèn đỏ cho người đi bộ ở làn đường  
1(đđn1), đèn xanh người đi blàn đường 2 (đxn2) cũng được bt sáng.Sau mt khong thi gian  
nht định đx1 tt,đèn vàng 1(đv1) được bt lên .  
Khi đv1 tt thì đđ2, đđn1,đxn2 mi tt cùng lúc đó đèn xanh 2(đx2) , đèn đỏ 1(đđ1),đèn đỏ  
cho người đi b2(đđn2), đèn xanh cho người đi b1(đxn1) được bt sáng.  
Lúc đèn vàng 2(đv2) được bt lên cũng là lúc đx2 tt ,đv2 tt chu kì được lp li vi  
đđ2,đx1…  
1.2 Gin đồ thi gian cho tng đèn  
Vi mt chu kỳ đèn bt kta có gin đồ thi gian hot động ca tng đèn như sau:  
7
Đồ án tt nghip  
Điu khin đèn giao thông  
Đ1  
đx1  
đv1  
đđ1  
đđn1  
đxn2  
Đ2  
đđ2  
đx2  
đv2  
đxn2  
đđn2  
0
30 33  
56 59 60  
t
1.3 ‘’ Làn Xanh ‘’  
Khái nim đèn xanh được đề cp đến ở đây chính là làm thế nào để phương tin tham gia  
giao thông có thgp hai đèn xanh liên tiếp hai ngã tư lin nhau. Mun được như vy chúng ta  
phi làm sao cho chu kca đèn ngã tư tiếp theo phù hp vi tc độ ca phương tin và  
khong cách gia hai ngã tư. Và gii pháp tôi đề cp ở đây là ngã tư thhai ta lp đặt mt  
Timer có tác dng to thi gian trca chu kỳ đèn thhai so vi đèn thnht phù hp.  
Bài tn đèn giao thông trong đồ án này chưa đề cp đến ‘’ làn xanh ‘’ mà chlà chương trình  
cho điu khin cho mt ngã tư.  
8
Đồ án tt nghip  
Điu khin đèn giao thông  
Chương 2 : CÔNG CTHC HIN BÀI TN  
2.1 Thiết bị điu khin logic khtrình PLC S7 – 200  
Trong công nghip sn xut, để điu khin mt dây chuyn, mt thiết bmáy móc công  
nghip … người ta thc hin kết ni các linh kin điu khin ri (rơle, timer, contactor …) li  
vi nhau tutheo mc độ yêu cu thành mt hthng đin điu khin. Công vic này khá phc  
tp trong thi công, sa cha bo trì do đó giá thành cao. Khó khăn nht là khi cn thay đổi mt  
hot động nào đó.  
Mt hthng điu khin ưu vit mà chúng ta phi chn được điu khin cho mt máy sn  
xut cn phi hi đủ các yêu cu sau: giá thành h, dthi công, sa cha, cht lượng làm vic n  
định linh hot … Từ đô hthng điu khin có thlp trình được PLC (Programable Logic  
Control) ra đời đã gii quyết được vn đề trên.  
Thiết bị điu khin lp trình đầu tiên đó được nhng nhà thiết kế cho ra đời năm 1968 (Công  
ty General Moto - M). Tuy nhiên, hthng này còn khá đơn gin và cng knh, người sdng  
gp nhiu khó khăn trong vic vn hành hthng. Vì vy các nhà thiết kế tng bước ci tiến hệ  
thng đơn gin, gn nh, dvn hành, nhưng vic lp trình cho hthng còn khó khăn, do lúc  
này không có các thiết blp trình ngoi vi htrcho công vic lp trình.  
Để đơn gin hóa vic lp trình, hthng điu khin lp trình cm tay (programmable  
controller handle) đầu tiên được ra đời vào năm 1969. Trong giai đon này các hthng điu  
khin lp trình (PLC) chỉ đơn gin nhm thay thế hthng Relay và dây ni trong hthng điu  
khin cổ đin. Qua quá trình vn hành, các nhà thiết kế đã tng bước to ra được mt tiêu chun  
mi cho hthng, tiêu chun đó là: dng lp trình dùng gin đồ hình thang. Trong nhng năm  
đầu thp niên 1970, nhng hthng PLC còn có thêm khnăng vn hành vi nhng thut tn hổ  
tr(arithmetic), “vn hành vi các dliu cp nht” (data manipulation). Do sphát trin ca  
loi màn hình dùng cho máy tính (Cathode Ray Tube: CRT), nên vic giao tiếp gia người điu  
khin để lp trình cho hthng càng trnên thun tin hơn. Ngi ra các nhà thiết kế còn to ra  
kthut kết ni vi các hthng PLC riêng lthành mt hthng PLC chung, tăng khnăng  
ca tng hthng riêng l. Tc độ xlý ca hthng được ci thin, chu kquét (scan) nhanh  
hơn làm cho hthng PLC xlý tt vi nhng chc năng phc tp, slượng cng ra/vào ln.  
Mt PLC có đầy đủ các chc năng như: bộ đếm, bộ định thi, các thanh ghi (register) và tp  
lnh cho phép thc hin các yêu cu điu khin phc tp khác nhau. Hot động ca PLC hn tn  
phthuc vào chương trình nm trong bnh, nó luôn cp nht tín hiu ngõ vào, xlý tín hiu  
để điu khin ngõ ra.  
Nhng đặc đim ca PLC:  
9
Đồ án tt nghip  
-Thiết bchng nhiu.  
Điu khin đèn giao thông  
-Có thkết ni thêm các modul để mrng ngõ vào/ra.  
-Ngôn nglp trình dhiu.  
-Ddàng thay đổi chương trình điu khin bng máy lp trình hoc máy tính cá nhân.  
-Độ tin cy cao, kích thước nh.  
-Bo trì ddàng.  
Do các đặc đim trên, PLC cho phép người điu hành không mt nhiu thi gian ni dây  
phc tp khi cn thay đổi chương trình điu khin, chcn lp chương trình mi thay cho chương  
trình cũ.  
Vic sdng PLC vào các hthng điu khin ngày càng thông dng, để đáp ng yêu cu  
ngày càng đa dng này, các nhà sn xut đã đưa ra hàng lot các dng PLC vi nhiu mc độ  
thc hin đủ để đáp ng các yêu cu khác nhau ca người sdng.  
Để đánh giá mt bPLC người ta da vào 2 tiêu chun chính: dung lượng bnhvà stiếp  
đim vào/ra ca nó. Bên cnh đó cũng cn chú ý đến các chc năng như: bvi xlý, chu kỳ  
xung clock, ngôn nglp trình, khnăng mrng sngõ vào/ra.  
2.1.1 Cu hình cng  
PLC viết tt ca Programmable Logic Control, là thiết bị điu khin logic lp trình được, cho  
phép thc hin linh hot các thut tn điu khin thông qua mt ngôn nglp trình.  
S7 – 200 là thiết bị điu khin khtrình loi nhca hãng Siemens, có cu trúc theo kiu  
modul và có các modul mrng. Các modul này sdng cho nhiu ng dng lp trình khác  
nhau. Thành phn cơ bn ca S7 – 200 là khi vi xlý CPU 212 hoc CPU 214. Vhình thc  
bên ngi, skhác nhau ca hai loi CPU này nhn biết được nhsố đầu vào/ra và ngun cung  
cp.  
-CPU 212 có 8 cng vào, 6 cng ra và có khnăng được mrng thêm bng 2 modul mở  
rng.  
-CPU 214 có 14 cng vào, 10 cng ra và có khnăng được mrng thêm bng 7 modul  
mrng.  
S7 – 200 có nhiu loi modul mrng khác nhau.  
CPU 214 bao gm:  
-2048 từ đơn (4K byte) thuc min nhớ đọc/ghi non-volatile để lưu chương trình (vng  
nhcó giao din vi EEPROM).  
10  
Đồ án tt nghip  
-2048 từ đơn (4K byte) kiu đọc/ghi để lưu dliu, trong đó 512 từ đầu thuc min nhớ  
non-volatile.  
Điu khin đèn giao thông  
-14 cng vào và 10 cng ra logic.  
-Cú 7 modul để mrng thêm cng vào/ra bao gm luôn cmodul analog.  
-Tng scng vào/ra cc đại là 64 cng vào và 64 cng ra.  
-128 Timer chia làm 3 loi theo độ phân gii khác nhau: 4 Timer 1ms, 16 Timer 10ms  
và 108 Timer 100ms.  
-128 bộ đếm chia làm 2 loi: chỉ đếm tiến và va đếm tiến va đếm lùi.  
-688 bit nhớ đặc bit dùng để thông báo trng thái và đặt chế độ làm vic.  
-Các chế độ ngt và xlý ngt bao gm: ngt truyn thông, ngt theo sườn lên  
hoc xung, ngt thi gian, ngt ca bộ đếm tc độ cao và ngt truyn xung.  
-3 bộ đếm tc độ cao vi nhp 2 KHz và 7KHz.  
-2 bphát xung nhanh cho dãy xung kiu PTO hoc kiu PWM.  
-2 bộ điu chnh tương t.  
-Tn bvùng nhkhông bmt dliu trong khong thi gian 190 gikhi PLC bmt  
ngun nuôi.  
Các cng ra  
Q0.0  
Q0.1  
Q0.2  
Q0.3  
Q0.4  
Q0.5  
Q0.6  
Q0.7  
SF  
RUN  
STOP  
I0.0  
I0.1  
I0.2  
I0.3  
I0.4  
I0.5  
I0.6  
I0.7  
Q1.0  
Q1.1  
I1.0  
I.11  
I1.2  
I1.3  
I1.4  
I1.5  
SIEMENS  
SIMATIC  
S7 - 200  
Các cng vào  
Cng truyn thông RS 485  
Hình 1 : Bộ điu khin lp trình được (khtrình) S7 -200 vi khi vi xlý CPU 214  
Mô tcác đèn báo trên S7 -200 CPU 214:  
11  
Đồ án tt nghip  
Điu khin đèn giao thông  
Đèn đỏ SF báo hiu hthng bhng .Đèn SF sádng lên khi PLC  
SF  
(đèn đỏ)  
RUN  
có hng hóc .  
Đèn xanh RUN chỉ định PLC đang chế độ làm vic và thc hin  
chương trình được np vào trong máy .  
(đèn xanh)  
STOP  
Đèn vàng STOP chỉ định rng PLC đang chế độ dng .Dng  
chương trình đang thc hin li .  
(đèn vàng)  
Ix .x  
Đèn xanh cng vào chỉ định trng thái tc thi ca cng Ix.x  
(x.x = 0.0 ÷ 1.5).Đèn này báo hiu trng thái ca tín hiu theo giá  
trlogic ca cng .  
(đèn xanh)  
Qy.y  
Đèn xanh cng ra báo hiu trng thái tc thi ca cng Qy.y  
(y.y = 0.0 ÷1.1).Đèn này báo hiu trng thái ca tín hiu theo giá  
trlogic ca cng.  
(đèn xanh)  
Cng truyn thông :  
S7 – 200 sdng cng truyn thông ni tiếp RS485 vi phích ni 9 chân để phc vcho vic  
ghép ni vi thiết blp trình hoc vi các trm PLC khác. Tc độ truyn cho máy lp trình kiu  
PPI là 9600 baud. Tc độ truyn cung cp ca PLC theo kiu tdo là 300 đến 38.400.  
5
4
3
2 1  
9
8
7 6  
Hình 2 : Sơ đồ chân ca cng truyn thông  
Trong đó :  
Chân  
Gii thích  
Đất  
1
2
3
4
5
6
24 VDC  
Truyn và nhn dliu  
Không sdng  
Đất  
5 VDC (đin trtrong 100Ω)  
7
8
24 VDC (120 mA ti đa)  
Truyn và nhn dliu  
12  
Đồ án tt nghip  
Điu khin đèn giao thông  
9
Không sdng  
Để ghép ni S7 – 200 vi máy lp trình PG702 hoc vi các loi máy lp trình thuc họ  
PG7xx có thsdng cáp ni thng qua MPI .Cáp đó đi kèm theo máy lp trình .  
Ghép ni S7 – 200 vi máy tính PC qua cng RS-232 cn có cáp ni PC/PPI vi bchuyn  
đổi RS232/RS485.  
Công tc chn chế độ làm vic ca PLC  
Công tc chn chế độ làm vic nm phía trên, bên cnh các cng ra ca S7 – 200 có ba vtrí  
cho phép chn các chế độ làm vic khác nhau cho PLC.  
-RUN cho phép PLC thc hin chương trình trong bnh. PLC S7 – 200 sri khi chế  
độ RUN và chuyn sang chế độ STOP nếu trong máy có schoc trong chương trình gp lnh  
STOP, thm chí ngay ckhi công tc chế độ RUN. Nên quan sát trng thái thc ti ca PLC  
theo đèn báo.  
-STOP cưỡng bc PLC dng thc hin chương trình đang chy và chuyn sang chế độ  
STOP. chế độ STOP PLC cho phép hiu chnh li chương trình hoc np mt chương trình  
mi.  
-TERM cho phép máy lp trình tquyết định mt trong các chế độ làm vic cho PLC  
hoc chế độ RUN hoc chế độ STOP.  
Chnh định tương tự  
Điu chnh tương t(1 btrong CPU 212 và 2 trong CPU 214) cho phép điu chnh các biến  
cn phi thay đổi và sdng trong chương trình. Núm chnh analog được lp đặt dưới np đậy  
bên cnh các cng ra. Thiết bchnh định có thquay 270o.  
Pin và ngun nuôi bnhớ  
Ngun nuôi dùng để mrng thi gian lưu gicho các dliu có trong bnh. Ngun pin tự  
động được chuyn sang trng thái tích cc nếu như dung lượng tnhbcn kit và nó phi thay  
thế vào vtrí đó để dliu trong bnhkhông bmt đi.  
2.1.2 Cu trúc bnhớ  
Phân chia bnh:  
Bnhca S7 – 200 được chia thành 4 vùng vi mt tcó nhim vduy trì dliu trong  
mt khong thi gian nht định khi mt ngun. Bnhca S7 – 200 có tính  
năng động cao, đọc và ghi được trong tn vùng, loi trphn bit nhớ đặc bit được kí hiu SM  
(Special Memory) chcó thtruy nhp để đọc.  
13  
Đồ án tt nghip  
Điu khin đèn giao thông  
Hình 3 : Bnhtrong và ngi ca S7 - 200  
Vùng chương trình: là min nhớ được sdng để lưu các lnh chương trình. Vùng này thuc  
kiu non-volatile đọc/ghi được.  
Vùng tham s: là min lưu gicác tham snhư: tkhóa, địa chtrm … cũng như vùng chương  
trình, vùng tham sthuc kiu non-volatile đọc/ghi được.  
Vùng dliu: dùng để ct các dliu ca chương trình bao gm các kết qucác phép tính, hng  
số được định nghĩa trong chương trình, bộ đệm truyn thông … mt phn ca vùng nhnày  
thuc kiu non-volatile.  
Vùng đối tượng: Timer, bộ đếm, bộ đếm tc độ cao và các cng vào/ra tương tự được đặt trong  
vùng nhcui cùng. Vùng này không kiu non-volatile nhưng đọc/ghi được.  
Vùng dliu  
Vùng dliu là mt vùng nhớ động. Nó có thể được truy nhp theo tng bit, tng byte, tng  
từ đơn hoc tng tkép được sdng làm min lưu trdliu cho các thut tn các hàm  
truyn thông, lp bng các hàm dch chuyn, xoay vòng thanh ghi, con trỏ địa ch…  
Vùng dliu li được chia thành các min nhnhvi các công dng khác nhau. Chúng  
được ký hiu bng các chcái đầu ca tên tiếng Anh, đặc trưng cho tng công dng ca chúng  
như sau:  
V
I
- Variable memory.  
- Input image regigter.  
14  
Đồ án tt nghip  
Điu khin đèn giao thông  
O
- Output image regigter.  
M
- Internal memory bits.  
- Speacial memory bits.  
SM  
Tt ccác min này đều có thtruy nhp được theo tng bit, tng byte, tng từ đơn (word-  
2byte) hoc tkép (2 word).  
7 6 5 4 3 2 1 0  
7 6 5 4 3 2 1 0  
Min V (đọc/ghi)  
Vùng đệm  
cng vào I  
(đọc/ghi)  
I0.x(x=0÷7)  
V0  
I7.x(x=0÷7)  
V4095  
Vùng nhni M  
(đọc/ghi)  
Vùng đệm  
cng ra Q  
(đọc/ghi)  
M0.x (x=0÷7)  
M31.x (x=0÷7)  
Q0.x (x=0÷7)  
Q7.x (x=0÷7)  
Vùng nhớ đặc bit  
SM (chỉ đọc)  
Vùng nhớ đặc  
bit (đọc/ghi)  
SM0.x (x=0÷7)  
SM29.x (x=0÷7  
SM30.x (x=0÷7)  
SM85.x (x=0÷7  
Hình 4 : Mô tvùng dliu ca CPU 214  
Địa chtruy nhp được qui ước theo công thc:  
-Truy nhp theo bit: Tên min (+) địa chbyte (+)•(+) chsbit. Ví dV150.4 chbit 4  
ca byte 150 thuc min V.  
-Truy nhp theo byte: Tên min (+) B (+) địa chca byte trong min. Ví dVB150 chỉ  
150 thuc min V.  
-Truy nhp theo t: Tên min (+) W (+) địa chbyte cao ca ttrong min. Ví dụ  
VW150 chtừ đơn gm 2 byte150 và 151 thuc min V, trong đó byte 150 có vai trò byte  
cao trong t.  
15 14 13 12 11 10 9 8  
7
6
5
4
3
2
1
0
15  
Đồ án tt nghip  
Điu khin đèn giao thông  
VB151 (byte thp)  
VW150  
VB150 (byte cao)  
-Truy nhp theo tkép: Tên min (+) D (+) địa chbyte cao ca ttrong min.Ví dụ  
VD150 chtkép gm 4 byte150, 151, 152 và 153 thuc min V, trong đó byte 150 có vai  
trò byte cao và byte 153 là thp trong tkép.  
bit  
63  
32 31  
16 15  
8
0
VD150  
VB150  
VB151  
VB152  
VB153  
Tt ccác byte thuc vùng dliu đều có thtruy nhp được bng con tr. Con trỏ được  
định nghĩa trong min V hoc các thanh ghi AC1, AC2 và AC3. Mi con trỏ địa chchgm 4  
byte (tkép).  
Vùng đối tượng:  
Vùng đối tượng được sdng để lưu gidliu cho các đối tượng lp trình như các giá trị  
tc thi, giá trị đặt trước ca bộ đếm, hay Timer. Dliu kiu đối tượng bao gm ca thanh ghi  
ca Timer, bộ đếm, bộ đếm tc độ cao, bộ đệm vào/ra tương tvà các thanh ghi Accumulator  
(AC).  
Kiu dliu đối tượng bhn chế rt nhiu vì các dliu kiu đối tượng chỉ được ghi theo  
mc đích cn sdng ca đối tượng đó.  
Hình 5. Vùng nhớ đối tượng được phân chia như sau:  
CPU214  
15  
0
bit  
T0  
T0  
Timer (đọc/ghi)  
T127  
T127  
Bộ đếm (đọc/ghi)  
C0  
C0  
C27  
C127  
Bộ đệm cng vào  
AW0  
16  
AW30  
Đồ án tt nghip  
tương t(chỉ đọc)  
Điu khin đèn giao thông  
Bộ đệm cng ra  
AQW0  
AQW30  
23  
tương t(chghi)  
Thanh ghi Accumulator  
31  
8
0
AC0 (không có khnăng làm con tr)  
(đọc/ghi)  
AC1  
AC2  
AC3  
Bộ đếm tc độ cao  
(đọc/ghi)  
HSC0  
HSC1 (chcó trong CPU 214)  
HSC2 (chcó trong CPU 214)  
2.1.3 Mrng ngõ vào/ra:  
Có thmrng ngõ vào/ra ca PLC bng cách ghép ni thêm vào nó các modul mrng về  
phía bên phi ca CPU (CPU 214 nhiu nht 7 modul), làm thành mt móc xích, bao gm các  
modul có cùng kiu.  
Các modul mrng shay ri rc đều chiếm chtrong bộ đệm, tương ng vi số đầu vào/ra  
ca các modul.  
Sau đây là mt ví dvcách đặt địa chcho các modul mrng trên  
CPU 214:  
CPU214  
MODUL 0  
MODUL 1  
MODUL 2  
MODUL 3  
MODUL 4  
17  
Đồ án tt nghip  
(4vào/4ra)  
Điu khin đèn giao thông  
(8 vào)  
(3vào analog (8 ra)  
(3vào analog  
/1ra analog)  
/1ra analog)  
I0.0 Q0.0  
I0.1 Q0.1  
I2.0  
I2.1  
I2.2  
I2.3  
I3.0  
AIW0  
Q3.0  
Q3.1  
Q3.2  
Q3.3  
Q3.4  
Q3.5  
Q3.6  
Q3.7  
AIW8  
AIW10  
AIW12  
AQW4  
I3.1  
I3.2  
I3.3  
I3.4  
I3.5  
I3.6  
I3.7  
AIW2  
I0.2  
Q0.2  
I0.3 Q0.3  
I0.4 Q0.4  
I0.5 Q0.5  
I0.6 Q0.6  
I0.7 Q0.7  
I1.1 Q1.0  
I1.2 Q1.1  
I1.3  
AIW4  
AQW0  
Q2.0  
Q2.1  
Q2.2  
Q2.3  
I1.4  
I1.5  
2.1.4 Thc hin chương trình:  
PLC thc hin chương trình theo chu trình lp. Mi vòng lp được gi là mt vòng quét  
(scan). Mi vòng quét được bt đầu bng gian đon đọc dliu tcác cng vào vùng đệm o,  
tiếp theo là gian đon thc hin chương trình. Trong tng vòng quét, chương trình được thc  
hin bng lnh đầu tiên và kết thúc bng lnh kết thúc (MEND). Sau giai đon thc hin chương  
trình là gian đon truyn thông ni bvà kim tra li. Vòng quét được kết thúc bng giai đon  
chuyn các ni dung ca bộ đm o ti các cng ra.  
18  
Đồ án tt nghip  
Điu khin đèn giao thông  
1. Nhp dữ  
4. Chuyn  
liu tngoi  
vi vào bộ đệm  
o  
dliu từ  
bộ đệm o  
ra ngoi vi  
3.Truyn  
thông và  
tkim  
tra li.  
2. Thc  
hin  
chương  
trình.  
Hình 6: Vòng quét (scan) trong S7- 200.  
Như vy, ti thi đim thc hin lnh vào/ra, thông thường lnh không làm vic mà chthông  
qua bộ đệm o ca cng trong vùng nhtham s. Vic truyn thông gia bộ đệm o vi ngoi vi  
trong các giai đon 1 và 4 do CPU qun lý. Khi gp lnh vào/ra ngay lp tc thì hthng scho  
dng mi công vic khác, ngay cchương trình xlý ngt, để thc hin lnh này mt cách trc  
tiếp vi cng vào/ra.  
Nếu sdng các chế độ xlý ngt, chương trình con tương ng vi tng tín hiu ngt được  
son tho và cài đặt như mt bphn ca chương trình. Chương trình xlý ngt chỉ được thc  
hin trong vòng quét khi xut hin tín hiu báo ngt và có thxy ra bt cứ đim nào trong  
vòng quét.  
Cu trúc chương trình ca S7 – 200  
Có thlp trình cho S7 – 200 bng cách sdng mt trong nhng phn mm sau đây:  
-STEP 7 – Micro/DOS  
-STEP 7 – Micro/WIN  
Nhng phn mm này đều có thcài đặt được trên các máy lp trình hPG7xx và các máy  
tính cá nhân (PC).  
Các chương trình cho S7 – 200 phi có cu trúc bao gm chương trình chính (main program)  
và sau đó đến các chương trình con và các chương trình xlý ngt được chra sau đây:  
-Chương trình chính được kết thúc bng lnh kết thúc chương trình (MEND)  
19  
Đồ án tt nghip  
Điu khin đèn giao thông  
-Chương trình con là mt bphn ca chương trình. Các chương trình con phi được viết  
sau lnh kết thúc chương trình chính, đó là lnh MEND.  
-Các chương trình xlý ngt là mt bphn ca chương trình. Nếu cn sdng chương  
trình xlý ngt phi viết sau lnh kết thúc chương trình chính MEND.  
Các chương trình con được nhóm li thành mt nhóm ngay sau chương trình chính. Sau đó  
đến các chương trình xlý ngt. Bng cách viết như vy, cu trúc chương trình được rõ ràng và  
thun tin hơn trong vic đọc chương trình sau này. Có thtdo trn ln các chương trình con  
và chương trình xlý ngt đằng sau chương trình chính.  
Thc hin trong mt vòng  
Main Program  
quét  
:
:
MEND  
Thc hin khi được  
SBR 0  
Chương trình con thnht  
chương trình chính gi  
:
:
RET  
SBR n  
Chưong trình con thn+1  
:
:
RET  
Thc hin khi có tín hiu  
bo ngt  
INT 0  
:
Chương trình xlý ngt thứ  
nht  
:
RET  
INT n  
Chương trình xlý ngt thứ  
:
n+1  
:
RET  
Hình 7: Cáu trúc chương trình S7 – 200  
20  
Đồ án tt nghip  
Điu khin đèn giao thông  
Hình 8: Hình nh thc tế ca PLC S7 – 200  
Hình 9: hình nh thc tế ca mt modul analog  
2.1.5 Ngôn nglp trình S7 – 200  
2.1.5.1 Phương pháp lp trình  
21  
Đồ án tt nghip  
Điu khin đèn giao thông  
S7 – 200 biu din mt mch logic cng bng mt dãy các lnh lp trình. Chương trình bao  
gm mt dãy các lnh. S7 – 200 thc hin chương trình bt đầu tlnh lp trình đầu tiên và kết  
thúc lnh cui trong mt vòng. Mt vòng như vy được gi là vòng quét.  
Mt vòng (scan cycle) quét được bt đầu bng vic đọc trng thái ca đầu vào, và sau đó  
thc hin chương trình. Scan cycle kết thúc bng vic thay đổi trng thái đầu ra. Trước khi bt  
đầu mt vòng quét tiếp theo S7 – 200 thc thi các nhim vbên trong và nhim vtruyn thông.  
Chu trình thc hin chương trình là chu trình lp.  
Giai đon chuyn  
dliu ra ngoi vi  
Giai đon nhp dữ  
liu tngoi vi  
Giai đon truyn  
thông ni bvà tự  
kim tra li  
Giai đon thc hin  
chương trình  
Hình 10: Thưc hin chương trình theo vòng quét trong S7 – 200.  
Cách lp trình cho S7 – 200 nói riêng và cho các PLC ca Siemens nói chung da trên hai  
phương pháp lp trình cơ bn: Phương pháp hình thang (Ladder Logic viết tt là LAD) và  
phương pháp lit kê lnh (Statement List viết tt là STL).  
Nếu chương trình được viết theo kiu LAD, thiết blp trình stto ra mt chương trình theo  
kiu STL tương ng. Nhưng ngược li không phi mi chương trình được viết theo kiu STL  
cũng có thchuyn được sang LAD.  
Định nghĩa vLAD:  
22  
Đồ án tt nghip  
Điu khin đèn giao thông  
LAD là mt ngôn nglp trình bng đồ ha. Nhng thành phn cơ bn dng trong LAD  
tương ng vi các thành phn ca bng điu khin bng rơle. Trong chương trình LAD các phn  
tcơ bn dùng để biu din lnh logic như sau:  
-Tiếp đim: là biu tượng (symbol) mô tcác tiếp đim ca rơle. Các tiếp đim  
đó có thlà thường mở ┤├ hoc thường đóng /.  
- Cun dây (coil): là biu tượng ( )mô tcác rơle được mc theo chiu dòng  
đin cung cp cho rơle.  
-Hp (box): là biu tượng mô tcác hàm khác nhau nó làm vic khi có dòng đin  
chy đến hp. Nhng dng hàm thường được biu din bng hp là các bộ định thi gian  
(Timer), bộ đếm (Counter) và các hàm tn hc. Cun dây và các hp phi được mc đúng chiu  
dòng đin.  
-Mng LAD: là đường ni các phn tthành mt mch hn thin, đi từ đường ngun bên  
trái sang đường ngun bên phi. Đường ngun bên trái là dây nóng, đường ngun bên phi là  
dây trung hòa hay là đường trvngun cung cp (đường ngun bên phi thường không được  
thhin khi dùng chương trình tin dng STEP7-Micro/DOS hoc STEP7-Micro/WIN). Dòng  
đin chy tbên trái qua các tiếp đim đến các cun dây hoc các hp trvbên phi ngun.  
Định nghĩa vSTL: phương pháp lit kê lnh (STL) là phương pháp thhin chương trình dưới  
dng tp hp các câu lnh. Mi câu lnh trong chương trình, kcnhng lnh hình thc, biu  
din mt chc năng ca PLC.  
Định nghĩa vngăn xếp logic (logic stack):  
S0  
S1  
S2  
S3  
S4  
S5  
S6  
S7  
S8  
Stack 0 – bit đầu tiên hay bit trên cùng ca ngăn xếp  
Stack 1 – Bit thhai ca ngăn xếp  
Stack 2 – Bit thba ca ngăn xếp  
Stack 3 – Bit thtư ca ngăn xếp  
Stack 4 – Bit thnăm ca ngăn xếp  
Stack 5 – Bit thsáu ca ngăn xếp  
Stack 6 – Bit thby ca ngăn xếp  
Stack 7 – Bit thtám ca ngăn xếp  
Stack 8 – Bit thchín ca ngăn xếp  
Để to ra mt chương trình dng STL, người lp trình cn phi hiu rõ phương thc sdng  
9 bit ca ngăn xếp logic ca S7 – 200. Ngăn xếp logic là mt khi gm 9 bit chng lên nhau. Tt  
23  
Đồ án tt nghip  
Điu khin đèn giao thông  
ccác thut tn liên quan đến ngăn xếp đều chlàm vic vi bit đầu tiên hoc vi bit đầu tiên và  
bit thhai ca ngăn xếp. Giá trlogic mi đều có thể được gi (hoc được ni thêm) vào ngăn  
xếp. Khi phi hp hai bit đầu tiên ca ngăn xếp, thì ngăn xếp sẽ được kéo lên mt bit.  
Ví dvLadder Logic và Statement List:  
LAD  
I0.0  
──┤├───( )  
STL  
Q1.0  
LD I0.0  
=
Q1.0  
2.1.5.2 Cú pháp lnh ca S7 – 200  
Hlnh ca S7 – 200: được chia làm ba nhóm:  
-Các lnh mà khi thc hin thì làm vic độc lp không phthuc vào giá trlogic ca ngăn  
xếp.  
-Các lnh chthc hin khi bit đầu tiên ca ngăn xếp có giá trlogic bng 1.  
-Các nhãn lnh đánh du trong vtrí tp lnh.  
Các tn hng gii hn cho phép ca CPU 214:  
Phương pháp truy nhp  
Gii hn cho phép ca tn hng ca CPU  
214  
24  
Đồ án tt nghip  
Điu khin đèn giao thông  
V
(0.0 đến 4095.7)  
(0.0 đến 7.7)  
(0.0 đến 7.7)  
(0.0 đến 31.7)  
(0.0 đến 85.7)  
(0 đến 7.7)  
Truy nhp theo bit  
I
(địa chbyte, chsbit)  
Q
M
SM  
T
C
(0.0 đến 7.7)  
(0 đến 4095)  
(0 đến 7)  
VB  
Truy nhp theo byte  
IB  
MB  
SMB  
AC  
(0 đến 31)  
(0 đến 85)  
(0 đến 3)  
Hng số  
VW  
T
(0 đến 4094)  
(0 đến 127)  
(0 đến 127)  
(0 đến 6)  
Truy nhp theo từ đơn (word)  
(địa chbyte cao)  
C
IW  
QW  
MW  
SMW  
AC  
(0 đến 6)  
(0 đến 30)  
(0 đến 84)  
(0 đến 3)  
AIW  
AQW  
Hng số  
VD  
ID  
(0 đến 30)  
(0 đến 30)  
(0 đến 4092)  
(0 đến 4)  
(0 đến 4)  
(0 đến 28)  
(0 đến 82)  
(0 đến 3)  
(0 đến 2)  
Thuy nhp theo tkép  
(địa chbyte cao)  
QD  
MD  
SMD  
AC  
HC  
Hng số  
Mt slnh cơ bn:  
25  
Đồ án tt nghip  
Lnh vào/ra:  
Điu khin đèn giao thông  
LOAD (LD): Lnh LD np giá trlogic ca mt tiếp đim vào trong bit đầu tiên ca ngăn xếp,  
các giá trcòn li trong ngăn xếp bị đẩy lùi xung mt bit.  
LOAD NOT (LDN): Lnh LD np giá trlogic ca mt tiếp đim vào trong bit đầu tiên ca  
ngăn xếp, các giá trcòn li trong ngăn xếp bị đẩy lùi xung mt bit.  
Trước  
LD  
Sau  
Trước  
LDN  
Sau  
c0  
c1  
m
c0  
c1  
m
c0  
c1  
c2  
c3  
c4  
c5  
c6  
c0  
c1  
c2  
c3  
c4  
c5  
c6  
c2  
c3  
c4  
c5  
c6  
c7  
c8  
c2  
c3  
c4  
c5  
c6  
c7  
c8  
c7  
c7  
Bị đẩy ra khi  
ngăn xếp  
Bị đẩy ra khi  
ngăn xếp  
Các dng khác nhau ca lnh LD, LDN cho LAD như sau:  
LAD  
Mô tả  
Tn hng  
n
Tiếp đim thường msẽ đóng nếu n=1  
n: I, Q, M, SM,  
(bit) T, C  
┤├  
n
Tiếp đim thường đóng smkhi n=1  
\├  
n
Tiếp đim thường msẽ đóng tc thi khi  
n:1  
I├  
n
n=1  
Tiếp đim thường đóng smtc thi khi  
\I├  
n=1  
Các dng khác nhau ca lnh LD, LDN cho STL như sau:  
26  
Đồ án tt nghip  
Điu khin đèn giao thông  
Tn hng  
LAD  
Mô tả  
LD  
n
n
n
n
Lnh np giá trlogic ca đim n vào bit đầu tiên n: I, Q, M, SM,  
trong ngăn xếp.  
(bit) T, C  
LDN  
LDI  
Lnh np giá trlogic nghch đảo ca đim n vào  
bit đầu tiên trong ngăn xếp.  
Lnh np tc thi giá trlogic ca đim n vào bit n:1  
đầu tiên trong ngăn xếp.  
LDNI  
Lnh np tc thi giá trlogic nghch đảo ca  
đim n vào bit đầu tiên trong ngăn xếp.  
OUTPUT (=): lnh sao chép ni dung ca bit đầu tiên trong ngăn xếp vào bit được chỉ định  
trong lnh. Ni dung ngăn xếp không bthay đổi.  
Mô tlnh OUTPUT bng LAD như sau:  
LAD  
Mô tả  
Tn hng  
n
Cun dây đầu ra trng thái kích thích khi có n:I,Q,M,SM,T,C  
dòng điu khin đi qua  
( )  
(bit)  
n
Cun dây đầu ra được kích thích tc thi khi n:Q  
có dòng điu khin đi qua  
( I )  
(bit)  
Các lnh ghi/xóa giá trcho tiếp đim:  
SET (S)  
RESET (R): Lnh dùng để đóng và ngt các đim gián đon đã được thiết kế. Trong LAD,  
logic điu khin dòng đin đóng hay ngt các cun dây đầu ra. Khi dòng điu khin đến các cun  
dây thì các cuôn dây đóng hoc mcác tiếp đim. Trong STL, lnh truyn trng thái bit đầu tiên  
ca ngăn xếp đến các đim thiết kế. Nếu bit này có giá trị  
27  
Đồ án tt nghip  
Điu khin đèn giao thông  
bng 1, các lnh S hoc R sẽ đóng ngt tiếp đim hoc mt dãy các tiếp đim (gii hn t1 đến  
255). Ni dung ca ngăn xếp không bthay đổi bi các lnh này.  
Mô tlnh S (Set) và R (Reset) bng LAD:  
LAD  
Mô tả  
Tn hng  
S bit  
──( S )  
n
n
Đóng mt mng gm n các tiếp đim ktS-bit: I, Q, M,SM,T,  
địa chS-bit  
C,V (bit)  
n (byte): IB, QB, MB,  
SMB, VB,AC, hng  
s, *VD, *AC  
Ngt mt mng gm n các tiếp đim ktS-  
bit. Nếu S-bit li chvào Timer hoc  
Counter thì lnh sxbit đầu ra ca  
Timer/Counter đó.  
S bit  
──( R )  
S bit  
──( SI )  
n
n
Đóng tc thi mt mng gm n các tiếp S-bit: Q (bit)  
đim ktừ địa chS-bit  
n(byte):IB,QB, MB,  
SMB, VB,AC, hng  
s, *VD, *AC  
S bit  
──( RI )  
Ngt tc thi mt mng gm n các tiếp đim  
ktừ địa chS-bit  
Mô tlnh S (Set) và R (Reset) bng STL:  
STL  
S
Mô tả  
Tn hng  
S-bit  
S-bit  
n
n
Ghi giá trlogic vào mt mng gm n bit kS-bit: I, Q, M,SM,T,  
từ địa chS-bit  
C,V (bit)  
R
Xóa mt mng gm n bit ktừ địa chS-bit.  
Nếu S-bit li chvào Timer hoc Counter thì  
lnh sxbit đầu ra ca Timer/Counter đó.  
SI  
S-bit  
S-bit  
n
n
Ghi tc thi giá trlogic vào mt mng gm S-bit: Q (bit)  
n bit ktừ địa chS-bit  
n
(byte):IB,QB,MB,  
SMB, VB,AC, hng  
s, *VD, *AC  
RI  
Xóa tc thi mt mng gm n bit ktừ địa  
chS-bit.  
28  
Đồ án tt nghip  
Các lnh logic đại sBoolean:  
Điu khin đèn giao thông  
Các lnh tiếp đim đại sBoolean cho phép to lp các mch logic (không có nh).  
Trong LAD các lnh này được biu din thông qua cu trúc mch, mc ni tiếp hay song song  
các tiếp đim thường đóng hay các tiếp đim thường m. Trong STL có thsdng lnh A  
(And) và O (Or) cho các hàm hhoc các lnh AN (And Not), ON (Or Not) cho các hàm kín.  
Giá trca ngăn xếp thay đổi phthuc vào tng lnh.  
Lnh Mô tả  
Tn hng  
ALD Lnh thp giá trca bit đầu tiên và thhai ca ngăn xếp bng Không có  
phép tính logic AND. Kết qughi li vào bit đầu tiên. Giá trcòn  
li ca ngăn xếp được kéo lên mt bit.  
OLD Lnh thp giá trca bit đầu tiên và thhai ca ngăn xếp bng Không có  
phép tính logic OR. Kết qughi li vào bit đầu tiên. Giá trcòn li  
ca ngăn xếp được kéo lên mt bit.  
LPS  
Lnh Logic Push (LPS) sao chp giá trca bit đầu tiên vào bit thKhông có  
hai trong ngăn xếp. Giá trcòn li bị đẩy xung mt bit. Bit cui  
cùng bị đẩy ra khi ngăn xếp.  
LRD Lnh sao chép giá trca bit thhai vào bit đầu tiên trong ngăn Không có  
xếp.Các giá trcòn li ca ngăn xếp ginguyên vtrí  
LPP  
Lnh kéo ngăn xếp lên mt bit. Giá trca bit sau được chuyn Không có  
cho bit trước.  
Ngi nhng lnh làm vic trc tiếp vi tiếp đim, S7 – 200 còn có 5 lnh đặc bit biu din  
cho các phép tính ca đại sBoolean cho các bit trong ngăn xếp, được gi là lnh stack logic. Đó  
là các lnh ALD (And Load), OLD (Or Load), LPS (Logic Push), LRD (Logic Read) và LPP  
(Logic Pop). Lnh stack logic được dùng để thp, sao chp hoc xcác mnh đề logic. LAD  
không có bộ đếm dành cho Stack logic. STL sdng các lnh stack logic để thc hin phương  
trình tng thcó nhiu biu thc con.  
AND (A) Lnh A và O phi hp giá trlogic ca mt tiếp đim n vi  
OR (O) giá trbit đầu tiên ca ngăn xếp. Kết quphép tính được đặt li vào bit đầu tiên trong  
ngăn xếp. Giá trca các bit còn li trong ngăn xếp không bthay đổi.  
29  

Tải về để xem bản đầy đủ

pdf 61 trang yennguyen 01/09/2024 470
Bạn đang xem 30 trang mẫu của tài liệu "Đồ án Thết kế hệ thống điều khiển đèn giao thông trên micro PLC SIMATIC S7- 200", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • pdfdo_an_thet_ke_he_thong_dieu_khien_den_giao_thong_tren_micro.pdf